由于操作过于频繁,请点击下方按钮进行验证!

台积电炫技:A16、SoW封装、光子引擎等,尖端芯片制造技术一骑绝尘

国际金属加工网 2024年05月12日

电子发烧友网报道(文/李宁远)在全球发展人工智能的热潮之下,台积电凭借其领先的芯片技术、稳定扩增的产能,不愧为良率第一市场份额第一的芯片制造大厂。在每年高额的营收背后,是芯片制造技术的深厚积累。同样,台积电每年投入研发的费用都是以百亿美元计算。

台积电在先进芯片制造技术上的布局也都被视为行业发展方向的风向标,今年四月末,台积电在加利福尼亚州举办了2024年北美技术论坛,发布了包括A16纳米制程、背面供电技术、晶圆系统(TSMC-SoW)等多种技术在内的新技术进展与新技术突破,旨在提高效能、功耗效率及功能性,协助芯片厂商在未来持续释放更多的创新。

台积电公布先进制程进展,指向更高性能能效芯片制造

台积电在北美技术论坛上,发布一种名为A16的新型芯片制造技术,预计于2026年量产。该制程技术是首个整合纳米片晶体管以及背面供电技术的节点,该技术在性能提升的同时进一步降低了功耗。台积电高管表示,人工智能芯片厂商的需求加快了该技术的研发,人工智能芯片会成为A16技术的首批采用者。

随着台积电领先业界的N3E技术进入量产,N2、N2P 2nm节点预计于2025年量产,台积电在其技术蓝图上推出了新技术A16,仍旧保持着领先性。从性能上看,A16与N2P制程相比,A16在相同的工作电压下,速度增快了8%-10%,在相同的速度下,功耗降低了15%-20%。整体芯片的密度也比N2P制程提升了1.10倍,非常契合人工智能芯片需求。

台积电在论坛上同时宣布A14工艺节点的计划,A14预计将采用第二代纳米片晶体管以及更先进的背面供电网络,有望在2027—2028年开始生产。

在成熟制程方面,台积电也在继续完善已有节点,如推出了全新优化的5nm节点N4C,进一步降低5nm制造成本,实现更小的芯片尺寸并降低生产复杂性,同时还能提供更高的功能良率。

在A16上,台积电应用了纳米片晶体管以及背面供电技术两个亮眼技术。在NanoFlex纳米片晶体管上的创新带来了N2标准单元的灵活性,可根据需求优化功耗、性能和面积,这是A16得以在更小功耗下提供高性能的原因之一。

背面供电技术被视为继续开发更精细工艺节点技术的基本技术,是现在先进制程巨头正在全力竞争的技术高地。有消息称,台积电A16采用的Super PowerRail背面供电技术,是将电力传输线直接连接到源极和汲极,其复杂程度与技术成本要高于英特尔的负面供电技术,可以更好地满足AI芯片、数据中心的发展需求。

从此前布局开发背面供电技术几家巨头的进度来看,英特尔是在这条赛道上最激进也有望最先落地背面供电技术应用的一方,也是最早在产品级测试芯片上实现背面供电的。对于背面供电技术,巨头们都在紧锣密鼓加快研发进度。

和先进制程相关的角逐从来没有停歇过,不只是背面供电技术的你追我赶,三星此前曾表示2nm工艺视为超越台积电重返领先先进制程地位的关键,英特尔也发表过要利用14A技术重新夺回芯片性能王座的说法。

随着台积电公布芯片制造技术的新进展,先进制程头部厂商之间的竞争愈发激烈,角逐激烈程度再次升级。

为先进芯片提供更优的封装选择

随着高性能计算需求的爆发,算速与算力上的需求推动了先进封装的进一步发展,台积电的CoWoS与SoIC封装技术正是目前产能吃紧供不应求的先进封装。根据近日台媒的报道,英伟达、AMD 两家公司高度重视高性能计算市场,已经包下了台积电今明两年CoWoS与SoIC先进封装的产能。

CoWoS是台积电2.5D先进封装技术,不夸张地说,目前绝大部分HBM系统都封装在CoWos上,台积电也是一再上调CoWos产能,预计今年底CoWoS月产能将达到4.5万至5万片以满足市场需求。

台积电在论坛上也宣布,正在研发CoWoS封装技术的下个版本,可以让系统级封装尺寸增大两倍以上,实现120x120mm的超大封装,功耗可以达到千瓦级别。计划到2026年CoWoS_L硅中介层尺寸可以达到光掩模的5.5 倍,2027年让硅中介层尺寸达到光掩模的8倍以上。

SoIC是高密度3D chiplet堆叠技术,凸块密度更高,传输速度更快,功耗更低。目前该技术产能还较低,预计今年底月产能可达五六千片,并在2025年底冲上单月1万片规模。

此外,先进系统级晶圆封装技术SoW的亮相也代表了台积电在封装技术方面的持续创新和进步。SoW是一种异构集成手段,能够将逻辑芯片、复合SoIC封装、HBM 和其他芯片等全部封装在单一晶圆中,与CoWoS和SoIC相比,先进封装复杂性和能力的进一步提升,能解决封装技术在性能、功耗上的限制。

台积电表示基于集成扇出(InFO)技术的SoW现已投入生产,利用CoWoS技术的SoW计划在2027年推出。

利用硅光子技术应对数据爆炸增长

硅光子技术也是论坛上的亮点技术,台积电表示正在开发紧凑型通用光子引擎技术,使用SoIC-X芯片堆叠技术将电子裸片堆叠在光子裸片之上,为电子与光子元件之间的接口提供最低的电阻及更高的能源效率。

在算力向更高水平发展,数据量飞速膨胀的推动下,电信号已经开始乏力,光技术才能匹配上暴增的算力和数据交互需求。台积电计划在2025年完成将紧凑型通用光子引擎技术用于小尺寸可插拔设备的技术验证,并于2026年推出基于CoWoS封装技术整合的CPO模块。

CPO近年来的确吸引了越来越多厂商加入赛道,虽然还在起步阶段,但国内外相关厂商都对该技术表示认可,这两年该技术会逐步开始商用,2026至2027年有望形成规模上量。根据CIR数据预测,2027年光学共封装的市场收入将达到54 亿美元。

小结

在论坛上,台积电还提到了车用的先进封装,台积电表示正在通过整合先进芯片与封装技术来满足车用客户对更高计算能力的需求,同时符合车规安全与品质要求。该封装指的是车用InFO-oS和CoWoS-R方案,两个封装方案有望明年获得AEC-Q100 2级认证。

总的来看,台积电的多项技术进展显示了其在高端芯片制造、封装上的领先,也让我们看到了高端芯片制造领域尖端技术的激烈竞争。为了在人工智能带动的产业快速发展中继续占据重要地位,台积电也加大力度投入了相关技术研发。台积电首席执行官表示相信A16纳米制程、晶圆系统等技术的发布,将为下一代人工智能应用奠定坚实基础。

(李宁远 电子发烧友网)

声明:本网站所收集的部分公开资料来源于互联网,转载的目的在于传递更多信息及用于网络分享,并不代表本站赞同其观点和对其真实性负责,也不构成任何其他建议。本站部分作品是由网友自主投稿和发布、编辑整理上传,对此类作品本站仅提供交流平台,不为其版权负责。如果您发现网站上所用视频、图片、文字如涉及作品版权问题,请第一时间告知,我们将根据您提供的证明材料确认版权并按国家标准支付稿酬或立即删除内容,以保证您的权益!联系电话:010-58612588 或 Email:editor@mmsonline.com.cn。

网友评论 匿名:

分享到

相关主题