由于操作过于频繁,请点击下方按钮进行验证!

创历史新高 全球半导体设备出货年增40%

国际半导体产业协会(SEMI)公布去年12月北美半导体设备出货金额达23.9亿美元,月增16.3%、年增27.7%,创下近17年来新高。去年全球半导体设备商出货金额达到560亿美元,年增40%,创历史新高。

SEMI表示,随着中国大陆晶圆厂产能持续开出,今年半导体设备需求将有增无减,预期全球半导体设备支出金额将持续成长,上看630亿美元,可望再写新高,较去年成长11%。

半导体设备销售是观察半导体景气荣枯重要指标,随半导体设备金额增长,也意谓晶圆制程看好未来订单成长,扩大产能及设备资本支出。

北美半导体设备去年12月出货金额创17年新高,在半导体元件新应用出现浪潮下,今年半导体景气热度将更甚去年。

SEMI稍早发布去年半导体产值首度突破4,000亿美元,年增20%,产值和增幅同创历史纪录,设备和材料厂也同欢。SEMI看好成长可延续至2019年,预估2019年半导体产值将达5,000亿美元,半导体设备和材料产值也将再创连四年成长的纪绿。

SEMI预估,今年相关晶圆厂建厂支出将达130亿美元,新晶圆厂建置完成后,2019年、2020年设备支出会很可观。今年设备采购金额将由去年的560亿美元增至630亿美元。

材料端部分也带动硅晶圆涨价,去年平均报价涨幅17%,主要由12吋硅晶圆带动。SEMI表示,即使硅晶圆售价上涨一倍,也才回到2011年的水准。

SEMI预估,今年全球半导体设备金额将增加高个位数百分比。由于大陆大幅扩建新晶圆厂,今年大陆半导体前后段设备市场可能超过台湾市场,但因大陆晶圆厂投资大多来自外来厂商,也有不少台厂,因此无损台湾业者的竞争力。

外资几近垄断半导体设备供应

调研机构Gartner表示,在3DNAND与尖端逻辑芯片制程设备支出成长推动下,2016全年全球半导体晶圆级制造设备市场规模年增11.3%,达374.07亿美元。一扫2015年规模年减1%阴霾。

Gartner研究副总裁TakashiOgawa表示,由于市场对资料中心高端服务,以及对移动装置中的高效能处理器与存储器需求大增,使得各半导体业者纷纷对晶圆级制造设备进行投资,进而推动了半导体设备市场规模的成长。

Gartner进一步分析,3D半导体制造是造成前十大前段设备业者表现出现落差的主要原因。具有3D半导体蚀刻解决方案的设备业者,表现都相当亮眼,例如应材的蚀刻设备业务,便因为3DNANDFlash的投资需求而出现强劲成长。成长表现最亮眼的ScreenSemiconductorSolutions,除了同样受惠于3DNANDFlash投资热潮外,还有日圆兑美元升值的汇率利多因素加持,因为本统计是以美元作为计价单位。

应用材料(AppliedMaterials)2016年营收大幅成长,尤其是蚀刻领域设备营收成长更是明显。主要归功于其3D刻蚀设备。

资料显示,2016年应用材料整体晶圆级制造设备营收年增20.5%,达77.37亿美元。营收续居各业者之冠。

日本业者ScreenSemiconductorSolutions则是在日圆兑美元汇率升值,以及市场对3DNAND产能需求增加等因素影响下,2016年整体晶圆级制造设备营收年增41.5%,达13.75亿美元。虽然该公司2016年营收在前十大业者中仅排名第六,但营收年增率居各业者之冠。

2016年营收年增率仅次于ScreenSemiconductor的是日立先端科技(HitachiHigh-Technologies)。该公司营收年增率为24.3%,营收为9.80亿美元。营收在前十大业者中排名第七。

美国科林研发(LamResearch)与荷兰ASML则是分别以营收52.13亿与50.91亿美元,名列二与三名。上述两业者2016年营收年增率为8.4%与7.6%。

综观2016年营收前十大业者,仅HitachiKokusai与ASMInternational营收下滑,分别年减16.6%与14.7%,达5.28亿与4.97亿美元。

前十大业者合计营收占所有业者总营收的78.6%,较2015年占比77.4%,扬升了1.2个百分点。

有机会突围而出的国产设备商

目前,我国已经实现了12英寸国产装备从无到有的突破,总体水平达到28纳米,刻蚀机、离子注入机、PVD、CMP等16种关键装备产品通过大生产线验证考核并实现销售。光刻机样机研发成功并实现90纳米曝光分辨率,国产曝光系统与双工件台实现研发目标;65-45纳米工艺完成研发进入量产,28纳米工艺完成研发即将进入生产,20-14纳米工艺取得关键技术成果;集成电路封装多项技术接近国际先进水平;抛光剂、溅射靶材等关键材料被国内外生产线批量应用。以上这些成果显示,我国集成电路制造技术水平已经取得长足进步,进一步缩小了与国际先进水平的差距。

国内半导体设备产业已主要形成3个产业集群。经过多年的发展,目前,国内已形成多个装备骨干企业,主要分布在辽宁、京津、上海区域。不同的制造商分别有各自的优势产品,如北方华创的刻蚀机、PVD、CVD、氧化炉等均有良好效益。

部分国产12英寸设备在生产线上实现批量应用。根据中国半导体行业协会半导体支撑业分会的报告,国内半导体设备行业技术水平近年来得到较大提升。在8英寸制造的主要关键设备方面,具备了供货能力,目前,刻蚀机、离子注入机、薄膜生长设备、氧化炉、LPCVD、退火炉、清洗机、单晶生长设备、CMP设备、封装设备等产品基本形成国内配套能力,技术水平基本可以满足用户要求。预计到2018年,将有40多种装备可以通过生产一线用户的考核,进入采购程序。

部分应用于14nm的国产设备已经开始进入生产线,步入验证。目前国内已有9项装备步入14nm验证中,其中主要的厂商有北方华创(6项)、中微半导体(1项)、睿励科仪(1项)和上海盛美(1项).

北方华创:国内半导体制造设备龙头

公司主要从事基础电子产品的研发、生产、销售和技术服务业务,目前已形成半导体装备、真空装备、新能源锂电装备和高精密电子元器件等四大业务板块。2016年8月,公司向国家集成电路基金、京国瑞基金及芯动能基金非公开发行股份募集9.24亿元,完成与北方微电子的重组,募集资金用于北方微电子“微电子装备扩产项目”建设并补充流动资金。2017年2月,七星华创与北方微电子正式合二为一,整合为北方华创科技集团股份有限公司,建立起国内覆盖领域最广、产品种类最多、建设规模最大、综合实力最强的高端装备供应平台。

长川科技:国内集成电路测试设备领先者

长川科技为国内集成电路测试设备首家上市公司,细分领域龙头。公司主要为集成电路封装测试企业、晶圆制造企业、芯片设计企业等提供测试设备。集成电路测试设备主要包括测试机、分选机和探针台等,目前公司主要产品包括测试机和分选机。

目前公司生产的集成电路测试机和分选机产品已获得长电科技、华天科技、通富微电、士兰微、华润微电子、日月光等多个一流集成电路企业的使用和认可。2013年以来,公司承担了国家科技重大02专项“通讯与多媒体芯片封装测试设备与材料应用工程”中“高压大电流测试系统”和“SiP吸放式全自动测试分选机”两项课题的研发工作,其中“高压大电流测试系统”项目已通过长电科技、通富微电的认证,“SiP吸放式全自动测试分选机”项目适用于QFP、QFN、BGA等中高端封装外型芯片的测试分选,已通过长电科技的验证,并实现批量销售。

晶盛机电:国内晶体硅生长设备龙头

公司为国内晶体硅生长设备龙头企业,晶体生长设备产品主要服务于太阳能光伏产业、半导体集成电路产业等。近年来,公司已开发出光伏和LED领域的智能化装备和新型蓝宝石晶体生长炉等新产品,并通过产业链的延伸,致力于成为国内领先的蓝宝石材料供应商。

随着光伏行业的增长及下游厂商的扩产,公司签订的晶体生长设备订单同比大幅增加。公司光伏智能化加工设备、蓝宝石材料业务稳定发展,半导体设备订单同比有所增加,对公司业绩有积极影响。

至纯科技:国内高纯工艺系统领先企业

公司是国内高纯工艺系统领先企业,是目前A股在该领域唯一一家上市公司。高纯工艺系统是针对生产工艺流程中高纯工艺介质进行污染控制的系统,广泛应用于泛半导体(集成电路、平板显示、LED、光伏等)、光纤、生物制药等领域,是保证和提高产品优良率的必要条件。

公司技术上具有较强的核心竞争力,产品维持较高毛利率水平。公司拥有达到优秀水平的核心技术与工艺(公司的技术与工艺水平已经能够实现ppb十亿分之一级的不纯物控制),使公司具有较高的产品定价能力,主要产品毛利率均在30%以上,且存在一定的提升空间。此外,公司还积极参与电子信息、医药等领域的行业标准制定工作,具有较大的技术优势。


声明:本网站所收集的部分公开资料来源于互联网,转载的目的在于传递更多信息及用于网络分享,并不代表本站赞同其观点和对其真实性负责,也不构成任何其他建议。本站部分作品是由网友自主投稿和发布、编辑整理上传,对此类作品本站仅提供交流平台,不为其版权负责。如果您发现网站上所用视频、图片、文字如涉及作品版权问题,请第一时间告知,我们将根据您提供的证明材料确认版权并按国家标准支付稿酬或立即删除内容,以保证您的权益!联系电话:010-58612588 或 Email:editor@mmsonline.com.cn。

网友评论 匿名:

分享到

相关主题